News: 2024-02-08
Aldec Blog
by
1M ago
What’s involved in simulation of a complex SoC FPGA like Versal ACAP ..read more
Visit website
News: 2023-06-26
Aldec Blog
by
10M ago
Aldec @ DAC 2023: Presenting Design Verification Tools and Solutions for FPGAs and SoCs ..read more
Visit website
News: 2023-06-14
Aldec Blog
by
11M ago
Riviera-PRO Supports System Simulation of AMD® Versal™ ACAP Designs ..read more
Visit website
News: 2022-06-22
Aldec Blog
by
1y ago
Verifying at a Higher Level of Abstraction ..read more
Visit website
Blog: 2022-06-08
Aldec Blog
by
2y ago
Real-time SDR system with TySOM ..read more
Visit website
News: 2022-06-01
Aldec Blog
by
2y ago
Riviera-PRO Supports OpenCPI for Heterogeneous Embedded Computing of Mission-Critical Applications ..read more
Visit website
News: 2022-03-29
Aldec Blog
by
2y ago
Advancing VHDL’s Verification Capabilities with VHDL-2019 Protected Types ..read more
Visit website
News: 2022-03-14
Aldec Blog
by
2y ago
Aldec Suspends all EDA Sales and Distribution Transactions in Russia ..read more
Visit website
Blog: 2022-03-04
Aldec Blog
by
2y ago
The Convergence of Emulation and Prototyping ..read more
Visit website
News: 2022-01-13
Aldec Blog
by
2y ago
Industry’s First use of TLM for the At-Speed Verification of a PCIe-Based Avionics Design Requiring DO-254 Compliance ..read more
Visit website

Follow Aldec Blog on FeedSpot

Continue with Google
Continue with Apple
OR